M
Mirzaaur
Guest
Hi there,
Estic intentant llegir 2D matriu utilitzant per al bucle com a índex de matriu, però l'índex no canvien mai de la primera val a la següent Fita on estic malament?
, així: --
library IEEE;
ús ieee.std_logic_1164.all;
work.my_pack.all ús;
ss entitat és
(port D: a CXT;
CLK: in std_logic;
reset: in std_logic;
q: out (bit_vector 4 downto 0));
ss final;
comportament arquitectura de FF és
Control de senyal: rang sencer de 0 a 2;
començar
procés (clk)
començar
if (clk'event i = '1 ') then
perquè en 2 downto 0 loop
Q <= D (I);
check <= I;
end loop;
END IF;
procés de finalització;
comportament final;--------------------- CXT és el tipus, he declarat a l'arxiu diferents, com la motxilla
my_pack paquet és
CXT tipus és array (de 0 a 2) d'bit_vector (4 downto 0);
my_pack paquet final;
--------------------
Gràcies i bones regrads,
mirzaaur
Estic intentant llegir 2D matriu utilitzant per al bucle com a índex de matriu, però l'índex no canvien mai de la primera val a la següent Fita on estic malament?
, així: --
library IEEE;
ús ieee.std_logic_1164.all;
work.my_pack.all ús;
ss entitat és
(port D: a CXT;
CLK: in std_logic;
reset: in std_logic;
q: out (bit_vector 4 downto 0));
ss final;
comportament arquitectura de FF és
Control de senyal: rang sencer de 0 a 2;
començar
procés (clk)
començar
if (clk'event i = '1 ') then
perquè en 2 downto 0 loop
Q <= D (I);
check <= I;
end loop;
END IF;
procés de finalització;
comportament final;--------------------- CXT és el tipus, he declarat a l'arxiu diferents, com la motxilla
my_pack paquet és
CXT tipus és array (de 0 a 2) d'bit_vector (4 downto 0);
my_pack paquet final;
--------------------
Gràcies i bones regrads,
mirzaaur