gen nucli Xilinx generar comparació v8_0 complir problema

M

Matrix_YL

Guest
Hola a tots

Jo ús gen bàsic per generar comparació v8.0 bàsic i afegir comparator_v8_0.vhd al projecte.a continuació, aplicar directament Disseny
, Però que compleixin error
Codi:ERROR: Mapa: 116 - El disseny està buit. Cap procés es farà.

ERROR: Mapa: 52 - problema detectat RPMs de processament.

ERROR: MAPA no

 
"El disseny és buida" - que generalment vol dir que el seu disseny no fa absolutament res, així que ISE optimitzat tot per la borda.Busqui un error simple com una línia de codi que falta o un nom d'un senyal equivocada en algun lloc.Si ens mostren el seu codi, potser algú li pugui ajudar.

No ho entenc "pot nucli pot ser utilitzat directament ...?"

 
gràcies echo47

Faig un gran error, vull utilitzar comparator_v8_0.vhd (model de comportament generat pel nucli Gen per simular) la meva mòdul directament per ISE no pot reconèixer aquest nucli.

És sembla que no familiaritzats amb l'ús de generació d'una instància central i fonamental en el meu disseny. Pot algú donar-me els passos correctes o alguns consells sobre l'ús bàsic de generació d'ISE?

aquests són els meus passos
1.Utilización gen bàsic per generar bàsics.
2.add *. XCO a projecte.
3.instantiate *. V o *. VHD (generador de generació de base com a model de comportament per simular) en el meu mòdul demoltes gràcies

 
Acabo de resoldre el problema semblant.
El meu codi és el següent:

library IEEE;
ieee.std_logic_1164.all ús;
ieee.std_logic_arith.all ús;
IEEE.STD_LOGIC_UNSIGNED.ALL ús;

entitat Tenbit_Multiplier és
port (mux1, mux2: in std_logic_vector (9 downto 0);
producte: out std_logic_vector (19 downto 0));
final Tenbit_Multiplier;

l'arquitectura del comportament de Tenbit_Multiplier és
començar
procés (mux1, mux2)
variable temp1: std_logic_vector (10 downto 0);
variable temp2: std_logic_vector (19 downto 0);

començar
temp2: = "00000000000000000000";
for i in 0 a 9 del bucle
if (mux2 (i) = '0 ') llavors
temp1: = "00000000000";
una mica més
temp1: = '0 '& mux1;
END IF;
temp2 (10 i downto i): = temp2 (10 i downto i) temp1;
end loop;
producte <= temp2;
procés de finalització;

final del comportament;

Algú em pot ajudar? Moltes gràcies!

 

Welcome to EDABoard.com

Sponsor

Back
Top