Com puc utilitzar la biblioteca precompilat a LDV?

D

darkslate

Guest
Tinc dos mòduls en el meu disseny.

un mòdul de dut1 utilitza biblioteques ASIC, l'altre dut2 utilitza biblioteques coreg Xilinx.

el problema és que vull delay_mode_zero opció compli a dut1,
i no en dut2.

així que compila com els següents.

dut1.v ncverilog delay_mode_zero
ncverilog dut2

, Però quan compile dut2, no trobar el dut1 exemple, a la biblioteca

Com puc solucionar això.

A ModelSim, em funciona trobar.

please help me ......

 
dut1 compilar en una biblioteca independent i després utilitzar-i la compilació de dut2 per apuntar a dut1.

 
rakko, és mal entès la meva pregunta.

l'opció-i només s'aplica al codi font, no s'aplica a compilar la biblioteca.
, Si és possible, si us plau digues-me els detalls.

utilitzant ncvlog, ncelab, ncsim.la vinculació amb la biblioteca de compilació és possible.

couter.v ncvlog delay_mode_zero
tcounter.v ncvlog

worklib.tcounter ncelab
worklib.tcounter ncsimperò en ncverilog, com puc fer servir això??
Sé que l'edició de cds.lib és necessari per a la definició de la col.lecció de camí, però no detalis.

please help me ...........

<img src="http://www.edaboard.com/images/smiles/icon_eek.gif" alt="Shocked" border="0" />
 

Welcome to EDABoard.com

Sponsor

Back
Top