Paralelo a Serial

M

missbirdie

Guest
Hola

Necessito ajuda en el següent paral.lel al convertidor de sèrie ..Quin és el valor de la càrrega ha de ser??Serà com un rellotge??les causes en tots els casos que va tractar la sortida és només l'últim bit en el registre de desplaçament ..o hi ha alguna cosa malament amb el codi???library IEEE;
ús ieee.std_logic_1164.all;
ús IEEE.numeric_std.all;

entitat pts2 és
Port (clk: in std_logic;
parallel_in: in std_logic_vector (7 downto 0);
de càrrega: in std_logic;
serial_out: out std_logic);
final pts2;

l'arquitectura del comportament de pts2 és

reg senyal: std_logic_vector (7 downto 0);començarprocés (clk)

començar

if (clk'event i = '1 ') then

if (load = '1 ') llavors
reg <= paral.lel;
una mica més
reg <= reg (6 downto 0) & '0 ';
END IF;

END IF;

procés de finalització;

serial_out <= reg (7);

final del comportament;Alta després de 3 hores 14 minuts:Realment necessito un codi molt simple ..Perquè jo sé com vaig a assignar el senyal de càrrega!

 
Hola

Un cop completada la transferència, ha d'establir la càrrega o ur = 0, per la qual cosa només llavors el 8 bits se li donarà, en cas contrari l'altre dispositiu va a pensar que el convertidor està encara ocupat.perquè

per obtenir el 8 entrades, només es necessita un cicle de rellotge.No obstant això, per a l'enviament de sèrie porta 8 cicles de rellotge.

En compte el disseny ur.En el cas anterior o només tenen una matriu de 8 bits per emmagatzemar el primer conjunt d'insums.En el segon cicle de rellotge, el convertidor dels productes de la LSB i en el mateix cicle de rellotge, rep un segon conjunt d'insums.Però la U només tenen una matriu.Així que escriu sobre la matriu i totes les ur primer conjunt dels insums s'esborrarà ...

Aquest problema es pot resoldre com per la presa de la càrrega com InOut.Una vegada que la conversió seriall es completa, clara la senyal de la càrrega.Després, el dispositiu va a pensar que el convertidor està llest per al següent conjunt d'insums.

Així, en el disseny o ur canviar la càrrega de InOut.Obert al final de la transmissió en sèrie

Si hi ha algun error per favor em corregeixin.Si això és útil .......... no t'oblidis de fer clic a em va ajudar a

Gràcies i salutacions
Deepak

 
Cita:

En el segon cicle de rellotge, el convertidor dels productes de la LSB i en el mateix cicle de rellotge, rep un segon conjunt d'insums.
Però la U només tenen una matriu.
Així que escriu sobre la matriu i totes les ur primer conjunt dels insums s'esborrarà ...
 
hey el codi de parellel al convertidor de sèrie es dóna en el llibre
disseny digital
zwolinsky
el n º 182, pg aproximadament ....
gaudir

 

Welcome to EDABoard.com

Sponsor

Back
Top